aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug047
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-03-03 21:27:27 +0100
committerTristan Gingold <tgingold@free.fr>2020-03-04 16:40:42 +0100
commit05b8fe710d53d0db9c8d956bf9aa8bec526ac079 (patch)
treec95bfe79f8c38f8a138b53a6e6458f8a231c844d /testsuite/gna/bug047
parent76955e2a3cc2c173d6db91e89afe6f476e8735be (diff)
downloadghdl-05b8fe710d53d0db9c8d956bf9aa8bec526ac079.tar.gz
ghdl-05b8fe710d53d0db9c8d956bf9aa8bec526ac079.tar.bz2
ghdl-05b8fe710d53d0db9c8d956bf9aa8bec526ac079.zip
vhdl: merge synopsys into the ieee libraries. For #980
Diffstat (limited to 'testsuite/gna/bug047')
-rw-r--r--testsuite/gna/bug047/repro_arith.vhdl1
-rwxr-xr-xtestsuite/gna/bug047/testsuite.sh2
2 files changed, 2 insertions, 1 deletions
diff --git a/testsuite/gna/bug047/repro_arith.vhdl b/testsuite/gna/bug047/repro_arith.vhdl
index 4160c2f73..f951108bf 100644
--- a/testsuite/gna/bug047/repro_arith.vhdl
+++ b/testsuite/gna/bug047/repro_arith.vhdl
@@ -1,4 +1,5 @@
library ieee;
+use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity repro_arith is
diff --git a/testsuite/gna/bug047/testsuite.sh b/testsuite/gna/bug047/testsuite.sh
index 9ccf25f74..11838e49a 100755
--- a/testsuite/gna/bug047/testsuite.sh
+++ b/testsuite/gna/bug047/testsuite.sh
@@ -3,7 +3,7 @@
. ../../testenv.sh
#GHDL_FLAGS=--ieee=synopsys
-analyze_failure repro_arith.vhdl 2>&1 | grep "non-standard synopsys"
+analyze_failure repro_arith.vhdl
clean