aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue243
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-12-22 06:36:31 +0100
committerTristan Gingold <tgingold@free.fr>2016-12-22 06:36:31 +0100
commit1894be584a56543cb04b0f0b21c8deeac05605ef (patch)
treedcff2cb0eb3f68335c8a34b2f05a17d7c9572a96 /testsuite/gna/issue243
parent9b22045bb3e1646b3b7c6d866c11f5b2d556beab (diff)
downloadghdl-1894be584a56543cb04b0f0b21c8deeac05605ef.tar.gz
ghdl-1894be584a56543cb04b0f0b21c8deeac05605ef.tar.bz2
ghdl-1894be584a56543cb04b0f0b21c8deeac05605ef.zip
Add testcase for #243
Diffstat (limited to 'testsuite/gna/issue243')
-rw-r--r--testsuite/gna/issue243/test.vhdl25
-rwxr-xr-xtestsuite/gna/issue243/testsuite.sh10
2 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/gna/issue243/test.vhdl b/testsuite/gna/issue243/test.vhdl
new file mode 100644
index 000000000..f989a758e
--- /dev/null
+++ b/testsuite/gna/issue243/test.vhdl
@@ -0,0 +1,25 @@
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+
+PACKAGE test_pkg IS
+
+ SUBTYPE test_t IS std_ulogic_vector(7 DOWNTO 0);
+
+ TYPE test_array_t IS ARRAY (natural RANGE <>) OF test_t;
+
+END PACKAGE test_pkg;
+
+LIBRARY work;
+USE work.test_pkg.ALL;
+
+ENTITY test IS
+ PORT (
+ a : IN test_array_t(0 TO 4) := (OTHERS => (OTHERS => '0'));
+ b : IN test_array_t(0 TO 4) := ((OTHERS => (OTHERS => '0'))));
+END ENTITY test;
+
+ARCHITECTURE rtl OF test IS
+
+BEGIN
+
+END ARCHITECTURE rtl;
diff --git a/testsuite/gna/issue243/testsuite.sh b/testsuite/gna/issue243/testsuite.sh
new file mode 100755
index 000000000..84ddbddba
--- /dev/null
+++ b/testsuite/gna/issue243/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure test.vhdl
+
+clean
+
+echo "Test successful"