aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue547
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-11-12 20:55:49 +0100
committerTristan Gingold <tgingold@free.fr>2018-11-12 20:55:49 +0100
commitfe338385f6c077e5b55b1fa2fc0fe4033894857d (patch)
tree90e518efe1f8001da18a26b787a2bf5fbe327e5e /testsuite/gna/issue547
parent2fc9b6a808b146674e48c95a1349785bae4f77dc (diff)
downloadghdl-fe338385f6c077e5b55b1fa2fc0fe4033894857d.tar.gz
ghdl-fe338385f6c077e5b55b1fa2fc0fe4033894857d.tar.bz2
ghdl-fe338385f6c077e5b55b1fa2fc0fe4033894857d.zip
Add testcase for #547
Diffstat (limited to 'testsuite/gna/issue547')
-rw-r--r--testsuite/gna/issue547/ghdl-bug.vhdl11
-rw-r--r--testsuite/gna/issue547/repro.vhdl8
-rwxr-xr-xtestsuite/gna/issue547/testsuite.sh12
3 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/gna/issue547/ghdl-bug.vhdl b/testsuite/gna/issue547/ghdl-bug.vhdl
new file mode 100644
index 000000000..652d6f531
--- /dev/null
+++ b/testsuite/gna/issue547/ghdl-bug.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+package ghdl_bug is
+
+type uncon_rec_t is record
+ slv : std_logic_vector;
+end record;
+type uncon_rec_arr_t is array (0 to 1) of uncon_rec_t;
+
+end ghdl_bug;
diff --git a/testsuite/gna/issue547/repro.vhdl b/testsuite/gna/issue547/repro.vhdl
new file mode 100644
index 000000000..f429cb989
--- /dev/null
+++ b/testsuite/gna/issue547/repro.vhdl
@@ -0,0 +1,8 @@
+use work.ghdl_bug;
+
+entity repro is
+end;
+
+architecture behav of repro is
+begin
+end behav;
diff --git a/testsuite/gna/issue547/testsuite.sh b/testsuite/gna/issue547/testsuite.sh
new file mode 100755
index 000000000..38b6b8edf
--- /dev/null
+++ b/testsuite/gna/issue547/testsuite.sh
@@ -0,0 +1,12 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze ghdl-bug.vhdl
+analyze repro.vhdl
+elab_simulate repro
+
+clean
+
+echo "Test successful"