aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue547/ghdl-bug.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue547/ghdl-bug.vhdl')
-rw-r--r--testsuite/gna/issue547/ghdl-bug.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/gna/issue547/ghdl-bug.vhdl b/testsuite/gna/issue547/ghdl-bug.vhdl
new file mode 100644
index 000000000..652d6f531
--- /dev/null
+++ b/testsuite/gna/issue547/ghdl-bug.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+package ghdl_bug is
+
+type uncon_rec_t is record
+ slv : std_logic_vector;
+end record;
+type uncon_rec_arr_t is array (0 to 1) of uncon_rec_t;
+
+end ghdl_bug;