aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue99/repro1.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-06-28 05:46:28 +0200
committerTristan Gingold <tgingold@free.fr>2016-06-28 05:47:52 +0200
commit9d38f14e5180a4b30c4dbb11ecbf954b3473bce9 (patch)
tree48ec3ffbbbc7e0d722ca689018090701a171cfa7 /testsuite/gna/issue99/repro1.vhdl
parentc3bfe727639a450270e3e3a69e513b20fc40682f (diff)
downloadghdl-9d38f14e5180a4b30c4dbb11ecbf954b3473bce9.tar.gz
ghdl-9d38f14e5180a4b30c4dbb11ecbf954b3473bce9.tar.bz2
ghdl-9d38f14e5180a4b30c4dbb11ecbf954b3473bce9.zip
Testcase for issue99.
Close #99
Diffstat (limited to 'testsuite/gna/issue99/repro1.vhdl')
-rw-r--r--testsuite/gna/issue99/repro1.vhdl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/gna/issue99/repro1.vhdl b/testsuite/gna/issue99/repro1.vhdl
new file mode 100644
index 000000000..055f8b7d3
--- /dev/null
+++ b/testsuite/gna/issue99/repro1.vhdl
@@ -0,0 +1,9 @@
+package pkg is
+ generic ( gen: natural );
+ constant test: natural:=gen;
+end package;
+
+package body pkg is
+end pkg;
+
+package mygpkg is new work.pkg generic map ( gen => 17 );