aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue99/repro1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue99/repro1.vhdl')
-rw-r--r--testsuite/gna/issue99/repro1.vhdl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/gna/issue99/repro1.vhdl b/testsuite/gna/issue99/repro1.vhdl
new file mode 100644
index 000000000..055f8b7d3
--- /dev/null
+++ b/testsuite/gna/issue99/repro1.vhdl
@@ -0,0 +1,9 @@
+package pkg is
+ generic ( gen: natural );
+ constant test: natural:=gen;
+end package;
+
+package body pkg is
+end pkg;
+
+package mygpkg is new work.pkg generic map ( gen => 17 );