diff options
author | Tristan Gingold <tgingold@free.fr> | 2014-03-29 04:50:08 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2014-03-29 04:50:08 +0100 |
commit | bf357576fd0a8d7be0620cd0ef1d668b2ffbbdc9 (patch) | |
tree | 3f2337917b3c5c696d6164e890aa6887151692f6 /testsuite/gna/ticket11/tb3.vhdl | |
parent | 7f7fdf816df6c7dcb24f202c6c88f1efcd752f29 (diff) | |
download | ghdl-bf357576fd0a8d7be0620cd0ef1d668b2ffbbdc9.tar.gz ghdl-bf357576fd0a8d7be0620cd0ef1d668b2ffbbdc9.tar.bz2 ghdl-bf357576fd0a8d7be0620cd0ef1d668b2ffbbdc9.zip |
Add more cases in ticket11.
Diffstat (limited to 'testsuite/gna/ticket11/tb3.vhdl')
-rw-r--r-- | testsuite/gna/ticket11/tb3.vhdl | 24 |
1 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/ticket11/tb3.vhdl b/testsuite/gna/ticket11/tb3.vhdl new file mode 100644 index 000000000..690c0ef48 --- /dev/null +++ b/testsuite/gna/ticket11/tb3.vhdl @@ -0,0 +1,24 @@ +entity tb3 is +end entity; + +architecture arch of tb3 is + signal s: integer := 0; +begin + process is + begin + wait for 1 us; + s <= 1; + s <= reject 1 ns inertial 2 after 2 us; + assert s = 0; + wait on s; + report "s = " & integer'image(s); + assert s = 1 severity failure; + assert now = 1 us severity failure; + wait on s; + report "s = " & integer'image(s); + assert s = 2 severity failure; + assert now = 3 us severity failure; + wait; + end process; + +end architecture; |