aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket18
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-07-28 22:30:38 +0200
committerTristan Gingold <tgingold@free.fr>2020-07-28 22:30:38 +0200
commit56b37b711ab456b7287d03b1b03fe258eda24c16 (patch)
tree06d08c880c9d7fd8becf1912626fc572ba881fd3 /testsuite/gna/ticket18
parent2fc0debb824e4b5728256543253b0a4949ad522b (diff)
downloadghdl-56b37b711ab456b7287d03b1b03fe258eda24c16.tar.gz
ghdl-56b37b711ab456b7287d03b1b03fe258eda24c16.tar.bz2
ghdl-56b37b711ab456b7287d03b1b03fe258eda24c16.zip
testsuite: clean up flags.
Diffstat (limited to 'testsuite/gna/ticket18')
-rwxr-xr-xtestsuite/gna/ticket18/testsuite.sh4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/gna/ticket18/testsuite.sh b/testsuite/gna/ticket18/testsuite.sh
index 86192a5db..1758cd912 100755
--- a/testsuite/gna/ticket18/testsuite.sh
+++ b/testsuite/gna/ticket18/testsuite.sh
@@ -2,7 +2,7 @@
. ../../testenv.sh
-GHDL_FLAGS="-fpsl --std=02"
+GHDL_STD_FLAGS="-fpsl --std=02"
analyze psl_test_error.vhd
elab_simulate psl_test_error --stop-time=200ns
@@ -10,4 +10,4 @@ elab_simulate psl_test_error --stop-time=200ns
analyze psl_test_working.vhd
elab_simulate psl_test_working --stop-time=200ns
-clean \ No newline at end of file
+clean