aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket89
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-07-28 22:30:38 +0200
committerTristan Gingold <tgingold@free.fr>2020-07-28 22:30:38 +0200
commit56b37b711ab456b7287d03b1b03fe258eda24c16 (patch)
tree06d08c880c9d7fd8becf1912626fc572ba881fd3 /testsuite/gna/ticket89
parent2fc0debb824e4b5728256543253b0a4949ad522b (diff)
downloadghdl-56b37b711ab456b7287d03b1b03fe258eda24c16.tar.gz
ghdl-56b37b711ab456b7287d03b1b03fe258eda24c16.tar.bz2
ghdl-56b37b711ab456b7287d03b1b03fe258eda24c16.zip
testsuite: clean up flags.
Diffstat (limited to 'testsuite/gna/ticket89')
-rwxr-xr-xtestsuite/gna/ticket89/testsuite.sh4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/gna/ticket89/testsuite.sh b/testsuite/gna/ticket89/testsuite.sh
index 1c37dc9ff..5036b73ef 100755
--- a/testsuite/gna/ticket89/testsuite.sh
+++ b/testsuite/gna/ticket89/testsuite.sh
@@ -10,12 +10,12 @@ elab_simulate repro2
clean
-GHDL_FLAGS=--work=ieee_proposed
+GHDL_STD_FLAGS=--work=ieee_proposed
analyze x_ieee_proposed/src/std_logic_1164_additions.vhdl
analyze x_ieee_proposed/src/standard_additions_c.vhdl
analyze x_ieee_proposed/src/standard_textio_additions_c.vhdl
-GHDL_FLAGS=--work=bitvis_util
+GHDL_STD_FLAGS=--work=bitvis_util
analyze project/src93/types_pkg.vhd
analyze project/src93/adaptations_pkg.vhd
analyze project/src93/string_methods_pkg.vhd