aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-10-10 15:51:16 +0200
committerTristan Gingold <tgingold@free.fr>2020-10-10 15:51:16 +0200
commit655bc9ac607ec66537003fa02b6eee2e0cf25df5 (patch)
treea9f24ad87fe021f2eeb4dec56edcdeac43381c16 /testsuite/gna
parentf62a2c0b0c0c281c7c9e5cb06635b2bd48d400ff (diff)
downloadghdl-655bc9ac607ec66537003fa02b6eee2e0cf25df5.tar.gz
ghdl-655bc9ac607ec66537003fa02b6eee2e0cf25df5.tar.bz2
ghdl-655bc9ac607ec66537003fa02b6eee2e0cf25df5.zip
testsuite/gna: add a test for #1490
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue1490/ent.vhdl7
-rwxr-xr-xtestsuite/gna/issue1490/testsuite.sh9
2 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/gna/issue1490/ent.vhdl b/testsuite/gna/issue1490/ent.vhdl
new file mode 100644
index 000000000..69ff7e382
--- /dev/null
+++ b/testsuite/gna/issue1490/ent.vhdl
@@ -0,0 +1,7 @@
+entity ent is
+end ent;
+use work.ent.ent; -- ***this second .ent causes the crash***
+architecture foo of ent is
+begin
+end foo;
+
diff --git a/testsuite/gna/issue1490/testsuite.sh b/testsuite/gna/issue1490/testsuite.sh
new file mode 100755
index 000000000..9e7e2a886
--- /dev/null
+++ b/testsuite/gna/issue1490/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure ent.vhdl
+
+clean
+
+echo "Test successful"