aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-02-10 19:09:18 +0100
committerTristan Gingold <tgingold@free.fr>2021-02-10 19:09:18 +0100
commit8f563f9df8ad94e44f1bd8eecd91d5611e507cc7 (patch)
treebbc308c61130a5f8d1c3ac25b283dd250897e188 /testsuite/gna
parent899eab63569411158d295fe9719ede038493ca34 (diff)
downloadghdl-8f563f9df8ad94e44f1bd8eecd91d5611e507cc7.tar.gz
ghdl-8f563f9df8ad94e44f1bd8eecd91d5611e507cc7.tar.bz2
ghdl-8f563f9df8ad94e44f1bd8eecd91d5611e507cc7.zip
testsuite/gna: add a test for #1639
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue1639/ap.vhdl22
-rwxr-xr-xtestsuite/gna/issue1639/testsuite.sh9
2 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/gna/issue1639/ap.vhdl b/testsuite/gna/issue1639/ap.vhdl
new file mode 100644
index 000000000..17e3b5907
--- /dev/null
+++ b/testsuite/gna/issue1639/ap.vhdl
@@ -0,0 +1,22 @@
+entity ap_a_04 is
+
+ end entity ap_a_04;
+
+ library ieee; use ieee.std_logic_1164.all;
+
+ architecture test of ap_a_04 is
+
+ signal a, b, y : std_ulogic;
+
+ begin
+
+ -- code from book
+
+ y <= a or b;
+
+ -- end code from book
+
+ a <= '0', '1' after 10 ns;
+ b <= '0', '1' after 9223372036854775802 ns, '0' after 10 ns, '1' after 15 ns;
+
+ end architecture test;
diff --git a/testsuite/gna/issue1639/testsuite.sh b/testsuite/gna/issue1639/testsuite.sh
new file mode 100755
index 000000000..13584c618
--- /dev/null
+++ b/testsuite/gna/issue1639/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure ap.vhdl
+
+clean
+
+echo "Test successful"