aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-08-27 16:57:22 +0200
committerTristan Gingold <tgingold@free.fr>2016-08-27 16:57:22 +0200
commit93079e02e43fa220e05f36187e85b27aa2de50ed (patch)
tree019d940a6d21471d43bd6493e42a2494438408de /testsuite/gna
parentad44f06c82d3a6f7a520e78a93d542b034579155 (diff)
downloadghdl-93079e02e43fa220e05f36187e85b27aa2de50ed.tar.gz
ghdl-93079e02e43fa220e05f36187e85b27aa2de50ed.tar.bz2
ghdl-93079e02e43fa220e05f36187e85b27aa2de50ed.zip
Add testcase for previous patch
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/bug051/tb.vhdl15
-rw-r--r--testsuite/gna/bug051/tb1.vhdl8
-rw-r--r--testsuite/gna/bug051/tb2.vhdl17
-rwxr-xr-xtestsuite/gna/bug051/testsuite.sh13
4 files changed, 53 insertions, 0 deletions
diff --git a/testsuite/gna/bug051/tb.vhdl b/testsuite/gna/bug051/tb.vhdl
new file mode 100644
index 000000000..a2ed49272
--- /dev/null
+++ b/testsuite/gna/bug051/tb.vhdl
@@ -0,0 +1,15 @@
+entity tb is
+end tb;
+
+architecture behav of tb is
+ signal s : bit;
+begin
+ postponed assert s = '0' severity failure;
+ process
+ begin
+ s <= '1';
+ wait for 0 ns;
+ s <= '0';
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/bug051/tb1.vhdl b/testsuite/gna/bug051/tb1.vhdl
new file mode 100644
index 000000000..ef52164ee
--- /dev/null
+++ b/testsuite/gna/bug051/tb1.vhdl
@@ -0,0 +1,8 @@
+entity tb is
+end tb;
+
+architecture behav of tb is
+ signal s : bit;
+begin
+ postponed assert s = 0;
+end behav;
diff --git a/testsuite/gna/bug051/tb2.vhdl b/testsuite/gna/bug051/tb2.vhdl
new file mode 100644
index 000000000..36b2fff13
--- /dev/null
+++ b/testsuite/gna/bug051/tb2.vhdl
@@ -0,0 +1,17 @@
+entity tb2 is
+end tb2;
+
+architecture behav of tb2 is
+ signal s : bit;
+ signal clk : bit;
+begin
+ -- psl default clock is (clk'event and clk = '1');
+ postponed assert always {s = '0'; s = '1'} severity failure;
+ process
+ begin
+ s <= '1';
+ wait for 0 ns;
+ s <= '0';
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/bug051/testsuite.sh b/testsuite/gna/bug051/testsuite.sh
new file mode 100755
index 000000000..925ada180
--- /dev/null
+++ b/testsuite/gna/bug051/testsuite.sh
@@ -0,0 +1,13 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+analyze_failure tb1.vhdl
+analyze_failure -fpsl tb2.vhdl
+analyze tb.vhdl
+elab_simulate tb
+
+clean
+
+echo "Test successful"