aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-06-28 17:24:40 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-07-01 06:39:46 +0200
commite8556a64e6ad3cedbe4862e6be992f516536abf7 (patch)
tree5f5f7f3cd8cc0522742488c7060f014d92a2e5b6 /testsuite/pyunit/dom
parent3c26dd63f093e156c9bf4143aeddafd3a4664ecc (diff)
downloadghdl-e8556a64e6ad3cedbe4862e6be992f516536abf7.tar.gz
ghdl-e8556a64e6ad3cedbe4862e6be992f516536abf7.tar.bz2
ghdl-e8556a64e6ad3cedbe4862e6be992f516536abf7.zip
Rework and fine tuning.
Diffstat (limited to 'testsuite/pyunit/dom')
-rw-r--r--testsuite/pyunit/dom/Expressions.py6
1 files changed, 3 insertions, 3 deletions
diff --git a/testsuite/pyunit/dom/Expressions.py b/testsuite/pyunit/dom/Expressions.py
index 4de36a2b2..6f64c6c86 100644
--- a/testsuite/pyunit/dom/Expressions.py
+++ b/testsuite/pyunit/dom/Expressions.py
@@ -87,9 +87,9 @@ class Expressions(TestCase):
default: Expression = self.parse(filename, constantDeclartion)
# Start checks
- self.assertTrue(isinstance(default, InverseExpression))
- self.assertTrue(isinstance(default.Operand, SimpleObjectOrFunctionCallSymbol))
- self.assertTrue(default.Operand.SymbolName == "true")
+ self.assertIsInstance(default, InverseExpression)
+ self.assertIsInstance(default.Operand, SimpleObjectOrFunctionCallSymbol)
+ self.assertTrue(str(default.Operand.SymbolName) == "true")
# def test_AbsExpression(self):
# filename: Path = self._root / "{className}_{funcName}.vhdl".format(