aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-08-04 17:02:44 +0200
committerTristan Gingold <tgingold@free.fr>2018-08-04 17:02:44 +0200
commit05d3a15bc99214a3c0427f667c3ab25938f7f5be (patch)
treecd5e9d83866aee2e65e15e5640f59d2cbf8d06fc /testsuite
parentafa72384251e121988501ac22f16d0110bd2305f (diff)
downloadghdl-05d3a15bc99214a3c0427f667c3ab25938f7f5be.tar.gz
ghdl-05d3a15bc99214a3c0427f667c3ab25938f7f5be.tar.bz2
ghdl-05d3a15bc99214a3c0427f667c3ab25938f7f5be.zip
Add testcase for ticket109
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/ticket109/t.vhdl7
-rwxr-xr-xtestsuite/gna/ticket109/testsuite.sh11
2 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/ticket109/t.vhdl b/testsuite/gna/ticket109/t.vhdl
new file mode 100644
index 000000000..876485cbf
--- /dev/null
+++ b/testsuite/gna/ticket109/t.vhdl
@@ -0,0 +1,7 @@
+entity t is
+end;
+
+architecture behav of t is
+begin
+ assert (1 + 1) * 1 = 2;
+end behav;
diff --git a/testsuite/gna/ticket109/testsuite.sh b/testsuite/gna/ticket109/testsuite.sh
new file mode 100755
index 000000000..8e560b4b3
--- /dev/null
+++ b/testsuite/gna/ticket109/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze t.vhdl
+elab_simulate t
+
+clean
+
+echo "Test successful"