aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-08-09 06:05:56 +0200
committerTristan Gingold <tgingold@free.fr>2018-08-10 09:47:28 +0200
commit12547db2809f1f5723b70828444555d6f0231648 (patch)
treefe6211aa7f112b3581508cef49eb275411462308 /testsuite
parent68512d93c3ec12fa768c51ed32c6e5db2b37fba3 (diff)
downloadghdl-12547db2809f1f5723b70828444555d6f0231648.tar.gz
ghdl-12547db2809f1f5723b70828444555d6f0231648.tar.bz2
ghdl-12547db2809f1f5723b70828444555d6f0231648.zip
Add testcase for #630
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue630/e.vhdl11
-rwxr-xr-xtestsuite/gna/issue630/testsuite.sh9
2 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/gna/issue630/e.vhdl b/testsuite/gna/issue630/e.vhdl
new file mode 100644
index 000000000..773200395
--- /dev/null
+++ b/testsuite/gna/issue630/e.vhdl
@@ -0,0 +1,11 @@
+entity e is end entity;
+architecture a of e is begin
+ process
+ procedure p(x :in bit_vector(1 to 1); y :out bit_vector(1 to 1)) is begin end procedure;
+ function f(x :bit_vector) return string is begin return "aa"; end function;
+ variable v :string(1 to 1);
+ begin
+ p( f(x) => v );
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/issue630/testsuite.sh b/testsuite/gna/issue630/testsuite.sh
new file mode 100755
index 000000000..411883a4b
--- /dev/null
+++ b/testsuite/gna/issue630/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure e.vhdl
+
+clean
+
+echo "Test successful"