aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue630/e.vhdl11
-rwxr-xr-xtestsuite/gna/issue630/testsuite.sh9
2 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/gna/issue630/e.vhdl b/testsuite/gna/issue630/e.vhdl
new file mode 100644
index 000000000..773200395
--- /dev/null
+++ b/testsuite/gna/issue630/e.vhdl
@@ -0,0 +1,11 @@
+entity e is end entity;
+architecture a of e is begin
+ process
+ procedure p(x :in bit_vector(1 to 1); y :out bit_vector(1 to 1)) is begin end procedure;
+ function f(x :bit_vector) return string is begin return "aa"; end function;
+ variable v :string(1 to 1);
+ begin
+ p( f(x) => v );
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/issue630/testsuite.sh b/testsuite/gna/issue630/testsuite.sh
new file mode 100755
index 000000000..411883a4b
--- /dev/null
+++ b/testsuite/gna/issue630/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure e.vhdl
+
+clean
+
+echo "Test successful"