aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-09-25 18:18:26 +0200
committerTristan Gingold <tgingold@free.fr>2017-09-25 18:32:45 +0200
commit685526e22ad509c82bc43e72b1780e000b0430b1 (patch)
treeb0d53a08ad2d8014678cdd131fa87383ef705641 /testsuite
parent63fe21ceb2ce1f62b7498f68dc7023051785207f (diff)
downloadghdl-685526e22ad509c82bc43e72b1780e000b0430b1.tar.gz
ghdl-685526e22ad509c82bc43e72b1780e000b0430b1.tar.bz2
ghdl-685526e22ad509c82bc43e72b1780e000b0430b1.zip
Add reproducer for #379
Close #379
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue379/e.vhdl30
-rwxr-xr-xtestsuite/gna/issue379/testsuite.sh9
2 files changed, 39 insertions, 0 deletions
diff --git a/testsuite/gna/issue379/e.vhdl b/testsuite/gna/issue379/e.vhdl
new file mode 100644
index 000000000..3369cad20
--- /dev/null
+++ b/testsuite/gna/issue379/e.vhdl
@@ -0,0 +1,30 @@
+entity e is end entity;
+architecture a of e is
+begin
+ process
+ constant z :integer := 0;
+ type t is array(0 to 0) of bit;
+ procedure x(
+ z :out bit_vector(0 to 0);
+ f :out bit_vector(0 to 0)
+ ) is
+ begin
+ end procedure;
+ procedure x(
+ z :out t;
+ f :out bit_vector(0 to 0)
+ ) is
+ begin
+ end procedure;
+ function f(arg:t) return bit is
+ begin
+ end function;
+ variable actual_for_f :bit;
+ variable actual_for_z :t; -- bit
+ begin
+ x(
+ f(z) => actual_for_f,
+ f(z) => actual_for_z
+ );
+ end process;
+end architecture;
diff --git a/testsuite/gna/issue379/testsuite.sh b/testsuite/gna/issue379/testsuite.sh
new file mode 100755
index 000000000..411883a4b
--- /dev/null
+++ b/testsuite/gna/issue379/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure e.vhdl
+
+clean
+
+echo "Test successful"