aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-25 20:38:29 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-25 21:13:32 +0200
commitb2d6f9d89a2199e855f4cf2a0b64008f6cb56e93 (patch)
treef1cb72c4990163b647fd728921ceea68520373ce /testsuite
parentab1a4bd15ed0d9e8c8ecbffd62e11e2c78ff1f28 (diff)
downloadghdl-b2d6f9d89a2199e855f4cf2a0b64008f6cb56e93.tar.gz
ghdl-b2d6f9d89a2199e855f4cf2a0b64008f6cb56e93.tar.bz2
ghdl-b2d6f9d89a2199e855f4cf2a0b64008f6cb56e93.zip
testsuite/gna: add a test for #1338
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1338/repro.vhdl21
-rwxr-xr-xtestsuite/gna/issue1338/testsuite.sh10
2 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/gna/issue1338/repro.vhdl b/testsuite/gna/issue1338/repro.vhdl
new file mode 100644
index 000000000..dbbbc4b21
--- /dev/null
+++ b/testsuite/gna/issue1338/repro.vhdl
@@ -0,0 +1,21 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity repro is
+end repro;
+
+architecture behav of repro is
+ signal s : unsigned (3 downto 0);
+ signal n : integer;
+begin
+ process
+ begin
+ for i in 1 to 5 loop
+ n <= to_integer(s);
+ s <= s + 1;
+ wait for 1 ns;
+ end loop;
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/issue1338/testsuite.sh b/testsuite/gna/issue1338/testsuite.sh
new file mode 100755
index 000000000..012985e3e
--- /dev/null
+++ b/testsuite/gna/issue1338/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze repro.vhdl
+elab_simulate repro --backtrace-severity=warning
+
+clean
+
+echo "Test successful"