aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-10-22 20:57:31 +0200
committerTristan Gingold <tgingold@free.fr>2017-10-22 20:57:31 +0200
commitd1bcdf138b3852a16799b3b92d6747736e9938eb (patch)
tree5ff8c22da3e7a182876b6bfe8ac1e71174f571d9 /testsuite
parent0bb34453ff13d6282c1b89b1b9b25dcf62f7851c (diff)
downloadghdl-d1bcdf138b3852a16799b3b92d6747736e9938eb.tar.gz
ghdl-d1bcdf138b3852a16799b3b92d6747736e9938eb.tar.bz2
ghdl-d1bcdf138b3852a16799b3b92d6747736e9938eb.zip
Add testcase from #235.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue235/test.vhdl18
-rwxr-xr-xtestsuite/gna/issue235/testsuite.sh11
2 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/gna/issue235/test.vhdl b/testsuite/gna/issue235/test.vhdl
new file mode 100644
index 000000000..812282766
--- /dev/null
+++ b/testsuite/gna/issue235/test.vhdl
@@ -0,0 +1,18 @@
+PACKAGE test_pkg IS
+
+ TYPE string_array_t IS ARRAY (natural RANGE <>) OF string;
+
+END test_pkg;
+
+ENTITY test IS
+END ENTITY test;
+
+LIBRARY work;
+USE work.test_pkg.string_array_t;
+
+ARCHITECTURE rtl OF test IS
+
+
+BEGIN
+
+END ARCHITECTURE rtl;
diff --git a/testsuite/gna/issue235/testsuite.sh b/testsuite/gna/issue235/testsuite.sh
new file mode 100755
index 000000000..1d84c0f57
--- /dev/null
+++ b/testsuite/gna/issue235/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze test.vhdl
+elab_simulate test
+
+clean
+
+echo "Test successful"