aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-10-22 20:54:01 +0200
committerTristan Gingold <tgingold@free.fr>2017-10-22 20:54:01 +0200
commit0bb34453ff13d6282c1b89b1b9b25dcf62f7851c (patch)
tree7d64a98c5b74bc449e7ab1ef9b2536ff7d4d6b9d /testsuite
parentff0fca3469c1a4dbe43790a274db9f007999f7be (diff)
downloadghdl-0bb34453ff13d6282c1b89b1b9b25dcf62f7851c.tar.gz
ghdl-0bb34453ff13d6282c1b89b1b9b25dcf62f7851c.tar.bz2
ghdl-0bb34453ff13d6282c1b89b1b9b25dcf62f7851c.zip
Add reproducer for #356.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue356/mux4.vhdl14
-rwxr-xr-xtestsuite/gna/issue356/testsuite.sh10
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/issue356/mux4.vhdl b/testsuite/gna/issue356/mux4.vhdl
new file mode 100644
index 000000000..ed1a1d7f1
--- /dev/null
+++ b/testsuite/gna/issue356/mux4.vhdl
@@ -0,0 +1,14 @@
+entity mux4 is
+ port ( a0, a1, a2, a3 : in bit;
+ sel0, sel1 : in bit;
+ y : out bit );
+end entity mux4;
+
+architecture behav of mux4 is
+begin
+ with bit_vector'(sel0, sel1) select
+ y <= a0 when "00",
+ a1 when "01",
+ a2 when "10",
+ a3 when "11";
+end architecture behav;
diff --git a/testsuite/gna/issue356/testsuite.sh b/testsuite/gna/issue356/testsuite.sh
new file mode 100755
index 000000000..6ff5342a2
--- /dev/null
+++ b/testsuite/gna/issue356/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze mux4.vhdl
+
+clean
+
+echo "Test successful"