diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-01-01 12:58:32 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-01-01 12:58:32 +0100 |
commit | fd93de50136669c7f6043cca4a0ad3eccdfbf00a (patch) | |
tree | 7d5958e4fde740bb392587264e71bc66ce03b11d /testsuite | |
parent | c7a4c4e17bd3eb6d948e34965be837471a7e0360 (diff) | |
download | ghdl-fd93de50136669c7f6043cca4a0ad3eccdfbf00a.tar.gz ghdl-fd93de50136669c7f6043cca4a0ad3eccdfbf00a.tar.bz2 ghdl-fd93de50136669c7f6043cca4a0ad3eccdfbf00a.zip |
testsuite: add case for #1063
Diffstat (limited to 'testsuite')
-rw-r--r-- | testsuite/gna/issue1063/matching.vhdl | 11 | ||||
-rwxr-xr-x | testsuite/gna/issue1063/testsuite.sh | 11 |
2 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/issue1063/matching.vhdl b/testsuite/gna/issue1063/matching.vhdl new file mode 100644 index 000000000..a9484c514 --- /dev/null +++ b/testsuite/gna/issue1063/matching.vhdl @@ -0,0 +1,11 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity matching is +end matching; + +architecture behav of matching is + constant ceq : std_logic := '1' ?= '1'; +begin + assert ceq = '1'; +end behav; diff --git a/testsuite/gna/issue1063/testsuite.sh b/testsuite/gna/issue1063/testsuite.sh new file mode 100755 index 000000000..8f2b2167a --- /dev/null +++ b/testsuite/gna/issue1063/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze matching.vhdl +elab_simulate matching + +clean + +echo "Test successful" |