aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/gna/issue381/test.vhdl14
-rwxr-xr-xtestsuite/gna/issue381/testsuite.sh10
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/issue381/test.vhdl b/testsuite/gna/issue381/test.vhdl
new file mode 100644
index 000000000..5c988a7da
--- /dev/null
+++ b/testsuite/gna/issue381/test.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity can_rx is
+ port (
+ demo : in std_logic;
+ status : out std_logic_vector (31 downto 0)
+ );
+end can_rx;
+
+architecture rtl of can_rx is
+begin
+ status(0) <= (0=>'0', others => '0') when demo = '1' else (0=>'1', others => '0');
+end rtl;
diff --git a/testsuite/gna/issue381/testsuite.sh b/testsuite/gna/issue381/testsuite.sh
new file mode 100755
index 000000000..84ddbddba
--- /dev/null
+++ b/testsuite/gna/issue381/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure test.vhdl
+
+clean
+
+echo "Test successful"