aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/gna/issue441/e.vhdl9
-rwxr-xr-xtestsuite/gna/issue441/testsuite.sh10
2 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue441/e.vhdl b/testsuite/gna/issue441/e.vhdl
new file mode 100644
index 000000000..d55b83b5a
--- /dev/null
+++ b/testsuite/gna/issue441/e.vhdl
@@ -0,0 +1,9 @@
+entity e is end entity;
+architecture a of e is
+ component c is
+ generic(constant k :natural := 0);
+ port (signal s :bit_vector(k to k));
+ end component;
+begin
+ inst: c port map(s(k) => '0');
+end architecture;
diff --git a/testsuite/gna/issue441/testsuite.sh b/testsuite/gna/issue441/testsuite.sh
new file mode 100755
index 000000000..dc643f60e
--- /dev/null
+++ b/testsuite/gna/issue441/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure e.vhdl
+
+clean
+
+echo "Test successful"