aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue441/e.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue441/e.vhdl')
-rw-r--r--testsuite/gna/issue441/e.vhdl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/gna/issue441/e.vhdl b/testsuite/gna/issue441/e.vhdl
new file mode 100644
index 000000000..d55b83b5a
--- /dev/null
+++ b/testsuite/gna/issue441/e.vhdl
@@ -0,0 +1,9 @@
+entity e is end entity;
+architecture a of e is
+ component c is
+ generic(constant k :natural := 0);
+ port (signal s :bit_vector(k to k));
+ end component;
+begin
+ inst: c port map(s(k) => '0');
+end architecture;