aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0118/assert3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug0118/assert3.vhdl')
-rw-r--r--testsuite/gna/bug0118/assert3.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/gna/bug0118/assert3.vhdl b/testsuite/gna/bug0118/assert3.vhdl
new file mode 100644
index 000000000..33c2e50a3
--- /dev/null
+++ b/testsuite/gna/bug0118/assert3.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity assert01 is
+ port (
+ a, b : out std_logic);
+end;
+
+architecture behav of assert01 is
+ signal s : std_logic;
+begin
+ assert ((a and b and s) = '0') report "error" severity error;
+end;