aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/bug0118/assert3.vhdl14
-rwxr-xr-xtestsuite/gna/bug0118/testsuite.sh7
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/bug0118/assert3.vhdl b/testsuite/gna/bug0118/assert3.vhdl
new file mode 100644
index 000000000..33c2e50a3
--- /dev/null
+++ b/testsuite/gna/bug0118/assert3.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity assert01 is
+ port (
+ a, b : out std_logic);
+end;
+
+architecture behav of assert01 is
+ signal s : std_logic;
+begin
+ assert ((a and b and s) = '0') report "error" severity error;
+end;
diff --git a/testsuite/gna/bug0118/testsuite.sh b/testsuite/gna/bug0118/testsuite.sh
new file mode 100755
index 000000000..8ddbd06e7
--- /dev/null
+++ b/testsuite/gna/bug0118/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+run "$GHDL" --reprint --std=08 assert3.vhdl > /dev/null
+
+echo "Test successful"