aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug059/tb1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug059/tb1.vhdl')
-rw-r--r--testsuite/gna/bug059/tb1.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/bug059/tb1.vhdl b/testsuite/gna/bug059/tb1.vhdl
new file mode 100644
index 000000000..c41f7837e
--- /dev/null
+++ b/testsuite/gna/bug059/tb1.vhdl
@@ -0,0 +1,13 @@
+package pkg1 is
+ generic (c : natural);
+ -- ??? Looks invalid, but what is the rule ?
+ generic map (c => c);
+end pkg1;
+
+entity tb1 is
+end;
+
+architecture behav of tb1 is
+begin
+ assert true;
+end behav;