aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug059/tb1.vhdl
blob: c41f7837ee82e0137c768900e330a17ebd7099e9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
package pkg1 is
  generic (c : natural);
  --  ??? Looks invalid, but what is the rule ?
  generic map (c => c);
end pkg1;

entity tb1 is
end;

architecture behav of tb1 is
begin
  assert true;
end behav;