aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1354/mwe.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1354/mwe.vhdl')
-rw-r--r--testsuite/gna/issue1354/mwe.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue1354/mwe.vhdl b/testsuite/gna/issue1354/mwe.vhdl
new file mode 100644
index 000000000..1b4d89dfb
--- /dev/null
+++ b/testsuite/gna/issue1354/mwe.vhdl
@@ -0,0 +1,19 @@
+library ieee;
+context ieee.ieee_std_context;
+use ieee.math_real.all;
+use ieee.numeric_std_unsigned.all;
+
+entity mwe is
+end entity;
+
+architecture a of mwe is
+begin
+ process
+ variable v_real : real := 10.0e6;
+ begin
+ report "some=" & to_string(25000000);
+ report "some=" & to_string(25.0e6);
+ report "some=" & to_string(real(v_real));
+ wait;
+ end process;
+end;