aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1354/mwe.vhdl
blob: 1b4d89dfb7d9b0a6f691a094b31b35beab61e2f5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
context ieee.ieee_std_context;
use ieee.math_real.all;
use ieee.numeric_std_unsigned.all;

entity mwe is
end entity;

architecture a of mwe is
begin
  process
    variable v_real : real := 10.0e6;
  begin
    report "some=" & to_string(25000000);
    report "some=" & to_string(25.0e6);
    report "some=" & to_string(real(v_real));
    wait;
  end process;
end;