aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1361/repro2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1361/repro2.vhdl')
-rw-r--r--testsuite/gna/issue1361/repro2.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/issue1361/repro2.vhdl b/testsuite/gna/issue1361/repro2.vhdl
new file mode 100644
index 000000000..428073458
--- /dev/null
+++ b/testsuite/gna/issue1361/repro2.vhdl
@@ -0,0 +1,15 @@
+ENTITY repro2 IS
+END repro2;
+
+ARCHITECTURE behav of repro2 IS
+ CONSTANT AddrRANGE : NATURAL := 16#0FFFFFF#;
+
+ TYPE MemArray IS ARRAY (0 TO AddrRANGE) OF bit_vector(7 downto 0);
+begin
+ process
+ VARIABLE Mem : MemArray := (OTHERS => x"00");
+ begin
+ Mem := (OTHERS => x"ff");
+ WAIT;
+ END PROCESS;
+END behav;