aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1361/repro2.vhdl
blob: 4280734581b5f70a94f8df08f47b7c9d8aba7b2d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
ENTITY repro2 IS
END repro2;

ARCHITECTURE behav of repro2 IS
  CONSTANT AddrRANGE     : NATURAL := 16#0FFFFFF#;

  TYPE MemArray IS ARRAY (0 TO AddrRANGE) OF bit_vector(7 downto 0);
begin
    process
      VARIABLE Mem : MemArray  := (OTHERS => x"00");
    begin
      Mem := (OTHERS => x"ff");
      WAIT;
    END PROCESS;
END behav;