aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1834/repro1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1834/repro1.vhdl')
-rw-r--r--testsuite/gna/issue1834/repro1.vhdl18
1 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/issue1834/repro1.vhdl b/testsuite/gna/issue1834/repro1.vhdl
new file mode 100644
index 000000000..7f2bb205e
--- /dev/null
+++ b/testsuite/gna/issue1834/repro1.vhdl
@@ -0,0 +1,18 @@
+entity repro1 is
+end;
+
+architecture a of repro1 is
+begin
+ process
+ begin
+ -- Over int'high
+ for i in integer'high to integer'high+1 loop
+ end loop;
+
+ -- Under int'low
+ for i in integer'low downto integer'low-1 loop
+ end loop;
+
+ wait;
+ end process;
+end architecture;