aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue478/repro.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue478/repro.vhdl')
-rw-r--r--testsuite/gna/issue478/repro.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/gna/issue478/repro.vhdl b/testsuite/gna/issue478/repro.vhdl
new file mode 100644
index 000000000..e0a424ae7
--- /dev/null
+++ b/testsuite/gna/issue478/repro.vhdl
@@ -0,0 +1,20 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity EX_ALUControl is
+end entity;
+
+architecture foo of EX_ALUControl is
+ signal RST: std_logic;
+ signal RDY: std_logic;
+ signal reentry_guard: std_logic;
+begin
+
+NO_LABEL:
+ process (RST, RDY, reentry_guard)
+ begin
+ report "In EX_ALUControl (RST=" & Std_logic'image(RST) & ", RDY=" &
+ Std_logic'image(RDY) & ", re=" &
+ Std_logic'image(reentry_guard) ")"; -- MISSING AMPERSAND
+ end process;
+end architecture;