aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue478/repro.vhdl20
-rw-r--r--testsuite/gna/issue478/repro2.vhdl10
-rw-r--r--testsuite/gna/issue478/repro3.vhdl10
-rw-r--r--testsuite/gna/issue478/repro4.vhdl10
-rwxr-xr-xtestsuite/gna/issue478/testsuite.sh12
5 files changed, 62 insertions, 0 deletions
diff --git a/testsuite/gna/issue478/repro.vhdl b/testsuite/gna/issue478/repro.vhdl
new file mode 100644
index 000000000..e0a424ae7
--- /dev/null
+++ b/testsuite/gna/issue478/repro.vhdl
@@ -0,0 +1,20 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity EX_ALUControl is
+end entity;
+
+architecture foo of EX_ALUControl is
+ signal RST: std_logic;
+ signal RDY: std_logic;
+ signal reentry_guard: std_logic;
+begin
+
+NO_LABEL:
+ process (RST, RDY, reentry_guard)
+ begin
+ report "In EX_ALUControl (RST=" & Std_logic'image(RST) & ", RDY=" &
+ Std_logic'image(RDY) & ", re=" &
+ Std_logic'image(reentry_guard) ")"; -- MISSING AMPERSAND
+ end process;
+end architecture;
diff --git a/testsuite/gna/issue478/repro2.vhdl b/testsuite/gna/issue478/repro2.vhdl
new file mode 100644
index 000000000..653fd283c
--- /dev/null
+++ b/testsuite/gna/issue478/repro2.vhdl
@@ -0,0 +1,10 @@
+entity repro2 is
+end;
+
+architecture behav of repro2 is
+begin
+ process
+ begin
+ "and";
+ end process;
+end;
diff --git a/testsuite/gna/issue478/repro3.vhdl b/testsuite/gna/issue478/repro3.vhdl
new file mode 100644
index 000000000..13e655902
--- /dev/null
+++ b/testsuite/gna/issue478/repro3.vhdl
@@ -0,0 +1,10 @@
+entity repro3 is
+end;
+
+architecture behav of repro3 is
+begin
+ process
+ begin
+ "and" (true, false);
+ end process;
+end;
diff --git a/testsuite/gna/issue478/repro4.vhdl b/testsuite/gna/issue478/repro4.vhdl
new file mode 100644
index 000000000..a32c72287
--- /dev/null
+++ b/testsuite/gna/issue478/repro4.vhdl
@@ -0,0 +1,10 @@
+entity repro4 is
+end;
+
+architecture behav of repro4 is
+begin
+ process
+ begin
+ "foo" (true, false);
+ end process;
+end;
diff --git a/testsuite/gna/issue478/testsuite.sh b/testsuite/gna/issue478/testsuite.sh
new file mode 100755
index 000000000..82f7efe59
--- /dev/null
+++ b/testsuite/gna/issue478/testsuite.sh
@@ -0,0 +1,12 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure repro.vhdl
+analyze_failure repro2.vhdl
+analyze_failure repro3.vhdl
+analyze_failure repro4.vhdl
+
+clean
+
+echo "Test successful"