aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue478/repro2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue478/repro2.vhdl')
-rw-r--r--testsuite/gna/issue478/repro2.vhdl10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/gna/issue478/repro2.vhdl b/testsuite/gna/issue478/repro2.vhdl
new file mode 100644
index 000000000..653fd283c
--- /dev/null
+++ b/testsuite/gna/issue478/repro2.vhdl
@@ -0,0 +1,10 @@
+entity repro2 is
+end;
+
+architecture behav of repro2 is
+begin
+ process
+ begin
+ "and";
+ end process;
+end;