aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue50/vector.d/cmp_195.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue50/vector.d/cmp_195.vhd')
-rw-r--r--testsuite/gna/issue50/vector.d/cmp_195.vhd26
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/issue50/vector.d/cmp_195.vhd b/testsuite/gna/issue50/vector.d/cmp_195.vhd
new file mode 100644
index 000000000..61851b275
--- /dev/null
+++ b/testsuite/gna/issue50/vector.d/cmp_195.vhd
@@ -0,0 +1,26 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity cmp_195 is
+ port (
+ eq : out std_logic;
+ in0 : in std_logic_vector(2 downto 0);
+ in1 : in std_logic_vector(2 downto 0)
+ );
+end cmp_195;
+
+architecture augh of cmp_195 is
+
+ signal tmp : std_logic;
+
+begin
+
+ -- Compute the result
+ tmp <=
+ '0' when in0 /= in1 else
+ '1';
+
+ -- Set the outputs
+ eq <= tmp;
+
+end architecture;