diff options
Diffstat (limited to 'testsuite/gna')
-rw-r--r-- | testsuite/gna/issue547/ghdl-bug.vhdl | 11 | ||||
-rw-r--r-- | testsuite/gna/issue547/repro.vhdl | 8 | ||||
-rwxr-xr-x | testsuite/gna/issue547/testsuite.sh | 12 |
3 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/gna/issue547/ghdl-bug.vhdl b/testsuite/gna/issue547/ghdl-bug.vhdl new file mode 100644 index 000000000..652d6f531 --- /dev/null +++ b/testsuite/gna/issue547/ghdl-bug.vhdl @@ -0,0 +1,11 @@ +library ieee; +use ieee.std_logic_1164.all; + +package ghdl_bug is + +type uncon_rec_t is record + slv : std_logic_vector; +end record; +type uncon_rec_arr_t is array (0 to 1) of uncon_rec_t; + +end ghdl_bug; diff --git a/testsuite/gna/issue547/repro.vhdl b/testsuite/gna/issue547/repro.vhdl new file mode 100644 index 000000000..f429cb989 --- /dev/null +++ b/testsuite/gna/issue547/repro.vhdl @@ -0,0 +1,8 @@ +use work.ghdl_bug; + +entity repro is +end; + +architecture behav of repro is +begin +end behav; diff --git a/testsuite/gna/issue547/testsuite.sh b/testsuite/gna/issue547/testsuite.sh new file mode 100755 index 000000000..38b6b8edf --- /dev/null +++ b/testsuite/gna/issue547/testsuite.sh @@ -0,0 +1,12 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze ghdl-bug.vhdl +analyze repro.vhdl +elab_simulate repro + +clean + +echo "Test successful" |