aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue561/wb_demux.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue561/wb_demux.vhd')
-rw-r--r--testsuite/gna/issue561/wb_demux.vhd17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue561/wb_demux.vhd b/testsuite/gna/issue561/wb_demux.vhd
new file mode 100644
index 000000000..d293137c8
--- /dev/null
+++ b/testsuite/gna/issue561/wb_demux.vhd
@@ -0,0 +1,17 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+--use IEEE.NUMERIC_STD.ALL;
+
+library work;
+use work.wishbone_pkg.all;
+
+entity wb_demux is
+ Port (
+ wbs_i : in t_wishbone_slave_in
+ );
+end wb_demux;
+architecture full_regs of wb_demux is
+begin
+
+
+end full_regs;