aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue561/wb_demux.vhd
blob: d293137c85115b8dc4813c358119c0306ced5374 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;

library work;
use work.wishbone_pkg.all;

entity wb_demux is
    Port (
    	wbs_i	: in t_wishbone_slave_in
    );
end wb_demux;
architecture full_regs of wb_demux is
begin


end full_regs;