aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue610/repro2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue610/repro2.vhdl')
-rw-r--r--testsuite/gna/issue610/repro2.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/issue610/repro2.vhdl b/testsuite/gna/issue610/repro2.vhdl
new file mode 100644
index 000000000..1473eeb76
--- /dev/null
+++ b/testsuite/gna/issue610/repro2.vhdl
@@ -0,0 +1,15 @@
+entity repro2 is
+ generic (l : natural := 10);
+end repro2;
+
+architecture behav of repro2 is
+begin
+ process
+ variable v : string (0 to l);
+ alias a : string is v;
+ begin
+ v := (others => ' ');
+ a := (others => 'x');
+ wait;
+ end process;
+end behav;