aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue610/repro2.vhdl
blob: 1473eeb7670b533c1f9d6b03c79cadbcc52d23bc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity repro2 is
  generic (l : natural := 10);
end repro2;

architecture behav of repro2 is
begin
   process
     variable v : string (0 to l);
     alias a : string is v;
   begin
     v := (others => ' ');
     a := (others => 'x');
     wait;
   end process;
end behav;