aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue610/repro4.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue610/repro4.vhdl')
-rw-r--r--testsuite/gna/issue610/repro4.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue610/repro4.vhdl b/testsuite/gna/issue610/repro4.vhdl
new file mode 100644
index 000000000..6ede420d2
--- /dev/null
+++ b/testsuite/gna/issue610/repro4.vhdl
@@ -0,0 +1,19 @@
+entity repro4 is
+end repro4;
+
+architecture behav of repro4 is
+ procedure set (signal v : out string) is
+ begin
+ v <= (others => ' ');
+ end set;
+ signal s : string (1 to 3);
+begin
+ set (s);
+
+ process
+ begin
+ wait for 0 ns;
+ assert s = " " severity failure;
+ wait;
+ end process;
+end behav;