aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue610/repro4.vhdl
blob: 6ede420d240bc02bdc0aa978fb851b05fb3f0c62 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity repro4 is
end repro4;

architecture behav of repro4 is
  procedure set (signal v : out string) is
  begin
    v <= (others => ' ');
  end set;
  signal s : string (1 to 3);
begin
  set (s);

  process
  begin
    wait for 0 ns;
    assert s = "   " severity failure;
    wait;
  end process;
end behav;