aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue676/inc2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue676/inc2.vhdl')
-rw-r--r--testsuite/gna/issue676/inc2.vhdl21
1 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/issue676/inc2.vhdl b/testsuite/gna/issue676/inc2.vhdl
new file mode 100644
index 000000000..62b301166
--- /dev/null
+++ b/testsuite/gna/issue676/inc2.vhdl
@@ -0,0 +1,21 @@
+library ieee ;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std_unsigned.all;
+
+entity Inc2 is
+
+generic(
+ N : positive := 4
+ );
+
+port(
+ A : in std_logic_vector(N-1 downto 0);
+ Sum : out std_logic_vector(N downto 0)
+ );
+
+end Inc2;
+
+architecture RTL of Inc2 is
+begin
+ sum <= ('0', A) + 1;
+end RTL;