diff options
Diffstat (limited to 'testsuite/pyunit/libghdl/DesignComment.vhdl')
-rw-r--r-- | testsuite/pyunit/libghdl/DesignComment.vhdl | 14 |
1 files changed, 0 insertions, 14 deletions
diff --git a/testsuite/pyunit/libghdl/DesignComment.vhdl b/testsuite/pyunit/libghdl/DesignComment.vhdl deleted file mode 100644 index 5cd555d33..000000000 --- a/testsuite/pyunit/libghdl/DesignComment.vhdl +++ /dev/null @@ -1,14 +0,0 @@ --- No copyright for :accum: design. - -library ieee; -use ieee.std_logic_1164.all; - -entity accum is - port ( - -- :a: and :b: are the inputs of the adder. - a, b : in std_logic_vector (31 downto 0); - -- :res: is the result of the adder. - res : out std_logic_vector (31 downto 0) - ); -end accum; - |