aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/iassoc01/iassoc12.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/iassoc01/iassoc12.vhdl')
-rw-r--r--testsuite/synth/iassoc01/iassoc12.vhdl29
1 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/synth/iassoc01/iassoc12.vhdl b/testsuite/synth/iassoc01/iassoc12.vhdl
new file mode 100644
index 000000000..f7b7f54a0
--- /dev/null
+++ b/testsuite/synth/iassoc01/iassoc12.vhdl
@@ -0,0 +1,29 @@
+use work.pkg.all;
+
+entity riassoc12 is
+ port (v : natural;
+ res : out nat_rec);
+end riassoc12;
+
+architecture behav of riassoc12 is
+begin
+ res.a <= v + 1;
+ res.b <= v + 2;
+end behav;
+
+entity iassoc12 is
+ port (v : natural;
+ a, b : out natural);
+end iassoc12;
+
+use work.pkg.all;
+
+architecture behav of iassoc12 is
+ component riassoc12 is
+ port (v : natural;
+ res : out nat_rec);
+ end component;
+begin
+ inst : riassoc12
+ port map (v => v, res.a => a, res.b => b);
+end behav;