aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1366/issue.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1366/issue.vhdl')
-rw-r--r--testsuite/synth/issue1366/issue.vhdl82
1 files changed, 82 insertions, 0 deletions
diff --git a/testsuite/synth/issue1366/issue.vhdl b/testsuite/synth/issue1366/issue.vhdl
new file mode 100644
index 000000000..4a199ccfd
--- /dev/null
+++ b/testsuite/synth/issue1366/issue.vhdl
@@ -0,0 +1,82 @@
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+
+
+entity sequencer is
+ generic (
+ seq : string
+ );
+ port (
+ clk : in std_logic;
+ data : out std_logic
+ );
+end entity sequencer;
+
+
+architecture rtl of sequencer is
+
+ signal index : natural := seq'low;
+
+ function to_bit (a : in character) return std_logic is
+ variable ret : std_logic;
+ begin
+ case a is
+ when '0' | '_' => ret := '0';
+ when '1' | '-' => ret := '1';
+ when others => ret := 'X';
+ end case;
+ return ret;
+ end function to_bit;
+
+begin
+
+ process (clk) is
+ begin
+ if rising_edge(clk) then
+ if (index < seq'high) then
+ index <= index + 1;
+ end if;
+ end if;
+ end process;
+
+ data <= to_bit(seq(index));
+
+end architecture rtl;
+
+
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+
+
+entity issue is
+ port (
+ clk : in std_logic
+ );
+end entity issue;
+
+
+architecture psl of issue is
+
+ component sequencer is
+ generic (
+ seq : string
+ );
+ port (
+ clk : in std_logic;
+ data : out std_logic
+ );
+ end component sequencer;
+
+ signal a, b : std_logic;
+
+begin
+
+
+ -- 0123456789
+ SEQ_A : sequencer generic map ("__-__-____") port map (clk, a);
+ SEQ_B : sequencer generic map ("__-__-____") port map (clk, b);
+
+
+end architecture psl;