aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1596/ent_working.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1596/ent_working.vhdl')
-rw-r--r--testsuite/synth/issue1596/ent_working.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/synth/issue1596/ent_working.vhdl b/testsuite/synth/issue1596/ent_working.vhdl
new file mode 100644
index 000000000..d311b7d76
--- /dev/null
+++ b/testsuite/synth/issue1596/ent_working.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use work.v;
+
+-- TOP WORKING ---
+entity ent_working is end;
+architecture RTL of ent_working is
+ signal a : std_logic_vector(3 downto 0) := "0101";
+begin
+ inst_v : entity v
+ port map (input => a);
+end;