aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1354/mwe.vhdl19
-rwxr-xr-xtestsuite/gna/issue1354/testsuite.sh11
2 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/gna/issue1354/mwe.vhdl b/testsuite/gna/issue1354/mwe.vhdl
new file mode 100644
index 000000000..1b4d89dfb
--- /dev/null
+++ b/testsuite/gna/issue1354/mwe.vhdl
@@ -0,0 +1,19 @@
+library ieee;
+context ieee.ieee_std_context;
+use ieee.math_real.all;
+use ieee.numeric_std_unsigned.all;
+
+entity mwe is
+end entity;
+
+architecture a of mwe is
+begin
+ process
+ variable v_real : real := 10.0e6;
+ begin
+ report "some=" & to_string(25000000);
+ report "some=" & to_string(25.0e6);
+ report "some=" & to_string(real(v_real));
+ wait;
+ end process;
+end;
diff --git a/testsuite/gna/issue1354/testsuite.sh b/testsuite/gna/issue1354/testsuite.sh
new file mode 100755
index 000000000..4ade39fc0
--- /dev/null
+++ b/testsuite/gna/issue1354/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze mwe.vhdl
+elab_simulate mwe
+
+clean
+
+echo "Test successful"